SBCCI Program

August 22th

09:00 – 10:20 – SBCCI Tutorial – Recent Advances in Learning-based Image and Video Coding
Wen Hsiao Peng (NCTU, Taiwan)
Location: SBCCI Synopsys Auditorium
Chair: Arthur Lorenzon (UFRGS, Brazil)

ABSTRACT: Digital image/video coding has been widely used in our daily life products. Nowadays, nearly every video-enabled device has image/video coding modules. In the past 30 years, several series of international image/video coding standards have been developed and adopted by industry. Recently, learning-based image/video coding, particularly end-to-end learned image/video coding, shows great promise in many emerging applications, e.g. perceptual compression for realism and application-specific image/video compression. This fast growing sector has attracted more than 100+ publications in the literature, with the state-of-the-art end-to-end learned image coding showing comparable compression performance to H.266/VVC intra coding in terms of PSNR and much better MS-SSIM results. End-to-end learned video coding is also catching up quickly. Some preliminary studies report comparable PSNR results to H.265/HEVC or even H.266/VVC under the low-delay setting. These interesting results have led to intense activity in international standards organizations (e.g. JPEG AI) and various Challenges (e.g. CLIC at CVPR and Grand Challenge on Neural Network-based Video Coding at ISCAS). This talk shall give an overview of this field, introducing some notable systems and recent breakthroughs. Furthermore, it shall disclose research opportunities related to the hidden aspects of this new technology.

BIO: Dr. Wen-Hsiao Peng (M’09-SM’13) received his Ph.D. degree from National Chiao Tung University (NCTU), Taiwan, in 2005. He was with the Intel Microprocessor Research Laboratory, USA, from 2000 to 2001, where he was involved in the development of ISO/IEC MPEG-4 fine granularity scalability. Since 2003, he has actively participated in the ISO/IEC and ITU-T video coding standardization process and contributed to the development of SVC, HEVC, and SCC standards. He was a Visiting Scholar with the IBM Thomas J. Watson Research Center, USA, from 2015 to 2016. He is currently a Professor with the Computer Science Department, National Yang Ming Chiao Tung University, Taiwan. He has authored over 75+ journal/conference papers and over 60 ISO/IEC and ITU-T standards contributions. His research interests include learning-based video/image compression, deep/machine learning, multimedia analytics, and computer vision. Dr. Peng was Chair of the IEEE Circuits and Systems Society (CASS) Visual Signal Processing (VSPC) Technical Committee. He was Technical Program Co-chair for 2021 IEEE VCIP, 2011 IEEE VCIP, 2017 IEEE ISPACS, and 2018 APSIPA ASC; Publication Chair for 2019 IEEE ICIP; Area Chair/Session Chair/Tutorial Speaker/Special Session Organizer for IEEE ICME, IEEE VCIP, and APSIPA ASC; and Track/Session Chair and Review Committee Member for IEEE ISCAS. He served as AEiC for Digital Communications for IEEE JETCAS and Associate Editor for IEEE TCSVT. He was Lead Guest Editor, Guest Editor and SEB Member for IEEE JETCAS, and Guest Editor for IEEE TCAS-II. He was Distinguished Lecturer of APSIPA and the IEEE CASS.

10:40 – 12:00 – SBCCI Tutorial – Electronic system-level design for hardware IP protection
Christian Pilato (POLIMI, Italy)
Location: SBCCI Synopsys Auditorium
Chair: Gabriel Nazar (UFRGS, Brazil)

ABSTRACT: The globalization of the electronics supply chain allows for the reduction of chip manufacturing costs but poses new security threats. Untrusted foundries can steal the intellectual property in the chips, while malicious users can tamper with the systems to harm their execution, steal sensitive information, or support reverse engineering. The design of next-generation systems demands the introduction of such security concepts at higher levels of abstraction. In this tutorial, I will present an overview of the security concerns in the design of heterogeneous system-on-chip architectures. I will also discuss how traditional methods for electronic system-level design can be extended to design and prototype architectures with secure communications, to automatically synthesize security countermeasures, and to protect existing IP hardware modules.

BIO: Christian Pilato is a Tenure-Track Assistant Professor at Politecnico di Milano. He was a Post-doc Research Scientist at Columbia University (2013-2016) and at the ALaRI Institute of the Università della Svizzera italiana (2016-2018). He was also a Visiting Researcher at New York University, Delft University of Technology, and Chalmers University of Technology. He has a Ph.D. in Information Technology from Politecnico di Milano (2011). His research interests focus on the design, optimization, and prototyping of heterogeneous system-on-chip architectures and reconfigurable systems, with emphasis on memory and security aspects. Starting from October 2020, he is the Scientific Coordinator of the H2020 EVEREST project. He served as program chair of EUC 2014 and will be the program chair of ICCD 2022. He is also serving in the program committees of many conferences on EDA, CAD, embedded systems, and reconfigurable architectures (DAC, ICCAD, DATE, CASES, ASPDAC, FPL, ICCD, etc.) He is a Senior Member of IEEE and ACM, and a Member of HiPEAC.

13:40 – 15:00 – SBCCI Tutorial – Reliable In-memory Computing with Unreliable Devices and Circuits
Kevin Yu Cao (Arizona State University, USA)
Location: SBCCI Synopsys Auditorium
Chair: José Augusto Nacif (UFV, Brazil)

ABSTRACT: With the ever-increasing demand of AI algorithms and high-definition sensors, Contemporary microprocessor design is facing tremendous challenges in memory bandwidth, processing speed and power consumption. Leveraging the advances in device technology and design techniques, in-memory computing (IMC) embeds analog deep-learning operations in the memory array, achieving massively parallel computing with high storage density. On the other side, its performance is still limited by device non-idealities, circuit precision, on-chip interconnection, and algorithm properties. In this talk, we will first review the state-of-the-art IMC design techniques, such as those based on resistive random-access memory (RRAM) and SRAM. Then based on statistical data from a fully integrated 65nm CMOS/RRAM test chip, we will illustrate the bottlenecks of current IMC system, including RRAM variations, the stability of machine learning models, peripheral circuits and interconnection. They interact with each other, limiting the inference accuracy and system energy-delay product (EDP). To efficiently explore design space, we will present a newly developed benchmark simulator, SIAM, which integrates device, circuit, architecture, network-on-chip (NoC), network-on-package (NoP) and DRAM access models to address the bottlenecks in data movement and robustness. Furthermore, we will demonstrate two methods to recover the accuracy loss: training for model stability before mapping to the hardware, and a hybrid SRAM/RRAM architecture for post-mapping recovery. These methods are applied to various datasets as well as a 65nm SRAM/RRAM test chip, helping shed light on future IMC research focus.

BIO: Yu Cao received the B.S. degree in physics from Peking University in 1996. He received the M.A. degree in biophysics and the Ph.D. degree in electrical engineering from University of California, Berkeley, in 1999 and 2002, respectively. He is now a Professor of Electrical Engineering at Arizona State University, Tempe, Arizona. He has published numerous articles and two books on nano-CMOS modeling and physical design. His research interests include neural-inspired computing, hardware design for on-chip learning, and reliable integration of nanoelectronics. Dr. Cao is a Distinguished Lecturer of the IEEE Circuits and Systems Society. He was a recipient of the 2020 Intel Outstanding Researcher Award, the 2009 ACM SIGDA Outstanding New Faculty Award, the 2006 NSF CAREER Award, the 2006 and 2007 IBM Faculty Award, and five Best Paper Awards. He is a Fellow of the IEEE.

15:20 – 16:40 – SBCCI Tutorial – Interconnect Meets Architecture: On-Chip Communication in the Age of Heterogeneity
Partha Pratim Pande (Washington State University, USA)
Location: SBCCI Synopsys Auditorium
Chair: Mateus Rutzig (UFSM, Brazil)

ABSTRACT: Neural Networks, graph analytics, and other big-data applications have become vastly important for many domains. This has led to a search for proper computing systems that can efficiently utilize the tremendous amount of data parallelism that is associated with these applications. Generally, we depend on data centers and high-performance computing (HPC) clusters to run various big-data applications. However, the design of data centers is dominated by power, thermal, and physical constraints. On the contrary, emerging heterogeneous manycore processing platforms that consist of CPU and GPU cores along with memory controllers (MCs) and accelerators have small footprints. Moreover, they offer power and area-efficient tradeoffs for running big-data applications. Consequently, heterogeneous manycore computing platforms represent a powerful alternative to the data center-oriented type of computing. However, typical Network-On-Chip (NoC) infrastructures employed on conventional manycore platforms are highly sub-optimal to handle specific needs CPUs, GPUs, and accelerators. To address this challenge, we need to come up with a holistic approach to design an optimal network-on-chip (NoC) as the interconnection backbone for the heterogeneous manycore platforms that can handle CPU, GPU, and application-specific accelerator communication requirements efficiently. We will discuss design of a hybrid NoC architecture suitable for heterogeneous manycore platforms. We will also highlight effectiveness of machine learning-inspired multi-objective optimization (MOO) algorithms to quickly find a NoC that satisfies both CPU and GPU communication requirements. Widely used MOO techniques (e.g., NSGA-II or simulated annealing based AMOSA) can require significant amounts of time due to their exploratory nature. Therefore, more efficient, and scalable ML-based optimization techniques are required. We are going to discuss various features of a generalized application-agnostic heterogeneous NoC design that achieves similar levels of performance (latency, throughput, energy, and temperature) as application-specific designs. In this talk, we will first review the state-of-the-art IMC design techniques, such as those based on resistive random-access memory (RRAM) and SRAM. Then based on statistical data from a fully integrated 65nm CMOS/RRAM test chip, we will illustrate the bottlenecks of current IMC system, including RRAM variations, the stability of machine learning models, peripheral circuits and interconnection. They interact with each other, limiting the inference accuracy and system energy-delay product (EDP). To efficiently explore design space, we will present a newly developed benchmark simulator, SIAM, which integrates device, circuit, architecture, network-on-chip (NoC), network-on-package (NoP) and DRAM access models to address the bottlenecks in data movement and robustness. Furthermore, we will demonstrate two methods to recover the accuracy loss: training for model stability before mapping to the hardware, and a hybrid SRAM/RRAM architecture for post-mapping recovery. These methods are applied to various datasets as well as a 65nm SRAM/RRAM test chip, helping shed light on future IMC research focus.

BIO: Partha Pratim Pande is a professor and holder of the Boeing Centennial Chair in computer engineering at the school of Electrical Engineering and Computer Science, Washington State University, Pullman, USA. He is currently the director of the school. His current research interests are novel interconnect architectures for manycore chips, on-chip wireless communication networks, heterogeneous architectures, and ML for EDA. Dr. Pande currently serves as the Editor-in-Chief (EIC) of IEEE Design and Test (D&T). He is on the editorial boards of IEEE Transactions on VLSI (TVLSI) and ACM Journal of Emerging Technologies in Computing Systems (JETC) and IEEE Embedded Systems letters. He was/is the technical program committee chair of IEEE/ACM Network-on-Chip Symposium 2015 and CASES (2019-2020). He also serves on the program committees of many reputed international conferences. He has won the NSF CAREER award in 2009. He is the winner of the Anjan Bose outstanding researcher award from the college of engineering, Washington State University in 2013. He is a fellow of IEEE.


August 23th

11:00 – 12:20 – KEYNOTE – Green Technologies for Intelligent and Connected Circuits & Systems Powered by Renewable Energy Sources
Massimo Alioto (NUS/Singapore)
Location: SBCCI Synopsys Auditorium
Chair: Nuno Roma (IST, Portugal)

ABSTRACT: The growth in the number of connected devices towards the trillion scale will be fundamentally impeded by batteries as a conventional source of energy, as their deployment in the trillions poses clear economic, logistical and environmental sustainability challenges.
This keynote introduces the key ideas and their silicon demonstrations to enable a new breed of always-on silicon systems from sensing, to computing and wireless communications with no battery inside (or any other energy storage). Sensor interfaces, processors and wireless transceivers fitting existing infrastructure (e.g., WiFi) with power reductions by orders of magnitude are discussed and exemplified by recent silicon demonstrations, and their system integration.
Ultimately, the technological pathway discussed in this keynote supports sustainable growth of applications leveraging large-scale deployments of silicon systems, making our planet smarter. And greener too.

BIO: Massimo Alioto (Fellow, IEEE) is currently a Professor with the Department of Electrical and Computer Engineering, National University of Singapore, Singapore, where he leads the Green IC Group, and also the Director of the Integrated Circuits and Embedded Systems Area and the FD-FAbrICS research center on intelligent&connected systems. Previously, he held positions at the University of Siena (Italy), Intel Labs (USA), University of Michigan, Ann Arbor (USA), University of California at Berkeley (USA), and EPFL (Switzerland).
He has authored or coauthored about 350 publications on journals and conference proceedings. He is the coauthor of five books printed by Springer, including the popular Enabling the Internet of Things—From Circuits to Systems (Springer, 2017). His research interests include self-powered integrated systems, intelligent and connected systems, widely energy-scalable integrated systems, data-driven systems for edge computing, hardware security, and emerging technologies.
Prof. Alioto is the Editor-in-Chief of IEEE Transactions on Very Large Scale Integration (VLSI) Systems, and is/was a Distinguished Lecturer of the IEEE Solid-State Circuits and Circuits and Systems Society, for which he was also a member of the Board of Governors. He is/was the Technical Program Chair (e.g., ISCAS 2023, SOCC, ICECS, and NEWCAS) in numerous conferences, and is in the IEEE “Digital Architectures and Systems” ISSCC Subcommittee and the IEEE ASSCC TPC. He has served as a Guest Editor for several IEEE journal special issues (e.g., JSSC, TCAS-I, TCAS-II, JETCAS) and an Associate Editor for a number of IEEE and ACM journals. He was the Deputy Editor-in-Chief of IEEE Journal on Emerging and Selected Topics in Circuits and Systems. Prof. Alioto is an IEEE Fellow.

14:00 – 14:40 – SBCCI Invited Talk – Smart Electro-Optic Platforms for Bio-Photostimulation and Biomarkers Detection
Benoit Gosselin (Université Laval, Canada)
Location: SBCCI Synopsys Auditorium
Chair: Pietro Maris Ferreira (Université Paris-Saclay, France)

ABSTRACT: This talk will present the design of electro-optic microsystems enabling in-situ biomarker sensing in biological samples and in live animal models. We will present the design of different CMOS integrated circuits to perform photostimulation and electrophysiology recording, in parallel, within these implantable and ambulatory systems. We will cover the design of high-sensitivity custom integrated CMOS photosensors for photometry sensing under low illumination conditions. High-performance circuit solutions will be presented to increase photosensors dynamic range. We will present miniature spectrophotometers to perform diffuse multi-wavelength spectroscopy for detecting biomarkers in real time within micro-volume samples. Then, we will show new integrated circuit strategies to perform data analysis in the chip to avoid delays and latency, and to allow for closed-loop bio-stimulation/sensing strategies. Finally, we will present different experimental results obtained in-vivo after performing photo-stimulation and electrophysiology recording in parallels in live transgenic rodents using these systems.

BIO: Benoit Gosselin obtained the Ph.D. degree in Electrical Eng. from École Polytechnique de Montréal in 2009, and he was an NSERC Postdoctoral Fellow at the Georgia Institute of Technology in 2010. He is currently a Full Professor at the Depart. of ECE at Université Laval, where he holds the Canada Research Chair in Smart Biomedical Microsystems. His research interests include wireless microsystems for brain computer interfaces, analog/mixed-mode and RF integrated circuits for neural engineering, interface circuits of implantable sensors/actuators and point-of-care diagnostic microsystems for personalized healthcare. Dr Gosselin is an Associate Editor of the IEEE Transactions on Biomedical Circuits and Systems and he is Chair and Founder of the IEEE CAS/EMB Quebec Chapter (2015 Best New Chapter Award). He served on the committees of several int’l IEEE conferences including NEWCAS, EMBC, LSC and ISCAS. His significant contribution to biomedical microsystems research led to commercializing the first wireless electro-optic bioimplant to study the development of brain diseases in freely behaving animal models by Doric Lenses Inc. He is Fellow of the Canadian Academy of Engineering, and he has received several awards, including the prestigious NSERC Brockhouse Canada Prize, and the Prix Génie Innovation of the Quebec professional engineering association OIQ.

Session Chair: Pietro Maris Ferreira (Université Paris-Saclay, France)

Data Converters
Location: SBCCI Synopsys Auditorium
Session Chair: Wilhelmus Van Noije (USP, Brazil)

14:40 — 15:00 Time Assisted SAR ADC with Bit-guess and Digital Error Correction *
Bruno Canal, Hamilton Klimach, Sergio Bampi, Tiago Balen
15:00 — 15:20Deep Neural Network Feasibility Using Analog Spiking Neurons
Thomas Soupizet, Zalfa Jouni, Joao Frischenbruder Sulzbach, Aziz Benlarbi-Delai, Pietro Maris Ferreira
15:20 — 15:40 Designing a 9.3μW Low-Power Time-to-Digital Converter (TDC) for a Time Assisted SAR ADC
Rodrigo Wuerdig, Bruno Canal, Tiago Balen, Sergio Bampi
15:40 — 16:00High-Level Design of a 14-bit Continuous-Time Sigma-Delta Modulator with FIR DAC for Low-Voltage Audio Devices
Matheus Cortez, Alessandro Gonçalves Girardi, Paulo César Comassetto de Aguirre
* Best paper candidate

SPECIAL SESSION on Low-power IoT Circuits
Location: SBCCI Synopsys Auditorium
Session Chair: Orazio Aiello (University of Genova, Italy)

16:20 — 16:40 1.2 nW Neuromorphic Enhanced Wake-Up Radio
Zalfa Jouni, Thomas Soupizet, Siqi Wang, Aziz Belarbi-Delai, Pietro Maris Ferreira
16:40 — 17:00Conversion Time-Power Tradeoff in Capacitance to Digital Converters with Dual-Mode Logic
Orazio Aiello, Paolo Crovetti, Massimo Alioto
17:00 — 17:20 Limits for Low Supply Voltage Operation of a 5 GHz VCO to Drive a 4-Path Mixer
Mariana Siniscalchi, Carlos Galup-Montoro, Sylvain Bourdel, Fernando Silveira
17:20 — 17:40A 0.3 to 5-MHz Low-Voltage Digitally-Controlled Oscillator for Energy Harvesting Applications
Luis Felipe Machado Dutra, Alessandro Girardi, Lucas Compassi-Severo
18:00 – 19:00 – PANEL – Formação de Talentos (in portuguese)
Location: Panels ABISEMI Room

Painel 1: Desenvolvimento de Talentos para a Indústria de Semicondutores
Organizador/Moderador: Marcelo Lubaszewski, UFRGS
Painelistas:
Linnyer Beatrys Ruiz Aylon, Projeto Manná – UEM
Alcides S. Costa, InPlace Design Automation
Rodrigo Alves de Lima Moreto, MTG2i Solutions Ltda


August 24th

Digital Circuits and Applications 1
Location: SBCCI Synopsys Auditorium
Session Chair: Fábio Ramos (UNIPAMPA, Brazil)

09:00 — 09:20 INVITED PAPER: Advanced Thermal Management using Approximate Computing and On-Chip Thermoelectric Cooling
Hammam Kattan, Hussam Amrouch
09:20 — 09:40A Time-Efficient Defect Simulation Framework for Analog and Mixed Signal (AMS) Circuits
MARAMPALLY SAIKIRAN, Mona Ganji, Degang Chen
09:40 — 10:00 Protecting SRAM PUF from BTI Aging-based Cloning Attack
Shengyu Duan, Gaole Sai
10:00 — 10:20Thermal-Aware Thread and Turbo Frequency Throttling Optimization for Parallel Applications*
Sandro Matheues Marques, Fábio Diniz Rossi, Marcelo Caggiani Luizelli, Antonio Carlos Schneider Beck, Arthur Lorenzon
10:20 — 10:40Miniaturized Sign-Magnitude Stochastic-Binary FIR Filter Architecture with Enhanced Accuracy
Gayas Mohiuddin Sayed, Matthias Kuhl
* Best paper candidate
11:00 – 12:20 – KEYNOTE – Reliability of VLSI technologies: impediment and opportunity
Ben Kaczer (IMEC, Belgium)
Location: SBMicro Cadence Auditorium
Chair: Gilson Wirth (UFRGS, Brazil)

ABSTRACT: Presence of non-idealities and defects in VLSI technologies is an immutable reality, which, however, is often overlooked by device engineers, researchers, technologists, and designers alike. We argue that in fact VLSI reliability “makes or breaks” any new technology. Superficial device reliability optimization is possible using phenomenological observations only, but we argue that solid physical foundations and thorough understanding of the underlying degradation mechanisms are essential both for truly dependable lifetime projections and for novel device pathfinding. Based on the detailed investigation of gate oxide defects, our “defect engineering” approach enables, among other things, optimization paths for SiGe channel devices and new oxidation techniques for advanced gate-all-around architectures, such as nanosheets and forksheets. In deeply scaled devices, degradation mechanisms can be decomposed down to individual defects, with each defect measured separately and its properties described down to single Kelvin temperatures using quantum mechanics. Such knowledge then allows us e.g. to model the degradation statistics of deeply-scaled devices and to predict the likelihoods of their failure. Robust degradation models enable us to project wider safe operating areas, which in turn allow to design better-performing circuitry at a given technology node and thus limited costs. Moreover, the ubiquitous presence of defects can be in fact embraced and the in-depth knowledge of defect properties can be used to our advantage to design new devices and applications, ranging from memory to physically unclonable functions and tamper-aware aging monitors.

BIO: Dr. Ben Kaczer is a Scientific Director in the FEOL reliability group at imec. Dr. Kaczer received the M.S. degree in Physical Electronics from Charles University, Prague, in 1992 and the M.S. and Ph.D. degrees in Physics from The Ohio State University, in 1996 and 1998, respectively. For his Ph.D. research on the ballistic-electron emission microscopy of SiO2 and SiC films he received the OSU Presidential Fellowship and support from Texas Instruments, Inc. In 1998 he joined the reliability group of imec, Leuven, Belgium, where his activities have included the research of the degradation phenomena and reliability assessment of SiO2, SiON, high-k, and ferroelectric films, planar and multiple-gate FETs, circuits, and characterization of Ge, SiGe, III-V, and MIM devices.
He has co-authored more than 500 journal and conference papers and 4 patent groups related to device and circuit reliability, presented a number of invited papers and tutorials, and received 6 IEEE International Reliability Physics Symposium (IRPS) Best and Outstanding Paper Awards, 2 IEEE IPFA Best Paper Awards, and the 2011 IEEE EDS Paul Rappaport Award. In 2019 he was historically the most cited author of IRPS. His h-index on Google Scholar is 62.
Dr. Kaczer has served twice as the chair of the Characterization, Reliability and Yield subcommittee of the International Electron Device Meeting (IEDM; 2007 and 2015) and as a member of various subcommittees of the IRPS (2002—2016) and is currently serving as a member of IRPS management committee (2018—). He was the General Chair of the Semiconductor Interfaces Specialists Conference (SISC; 2006) and continues to act as the conference secretary (2007—). He co-organized the INFOS conference (2005), and served on the INFOS, WoDiM, IPFA, and ICICDT conference committees. He has served on the Editorial Board of IEEE journal of Transaction of Electron Devices for three terms (2011—2019).

14:00 – 14:40 – SBCCI Invited Talk – Hardware/Software Co-Design of Deep Learning Accelerators
Yiyu Shi (University of Notre Dame, USA)
Location: SBCCI Synopsys Auditorium
Chair: José Augusto Nacif (UFV, Brazil)

ABSTRACT: The prevalence of deep neural networks today is supported by a variety of powerful hardware platforms including GPUs, FPGAs, and ASICs. A fundamental question lies in almost every implementation of deep neural networks: given a specific task, what is the optimal neural architecture and the tailor-made hardware in terms of accuracy and efficiency? Earlier approaches attempted to address this question through hardware-aware neural architecture search (NAS), where features of a fixed hardware design are taken into consideration when designing neural architectures. However, we believe that the best practice is through the simultaneous design of the neural architecture and the hardware to identify the best pairs that maximize both test accuracy and hardware efficiency. In this talk, we will present novel co-exploration frameworks for neural architecture and various hardware platforms including FPGA, NoC, ASIC and Computing-in-Memory, all of which are the first in the literature. We will demonstrate that our co-exploration concept greatly opens up the design freedom and pushes forward the Pareto frontier between hardware efficiency and test accuracy for better design tradeoffs.

BIO: Dr. Yiyu Shi is currently a professor in the Department of Computer Science and Engineering at the University of Notre Dame, the site director of National Science Foundation I/UCRC Alternative and Sustainable Intelligent Computing, and the director of the Sustainable Computing Lab (SCL). He is also a visiting scientist at Boston Children’s Hospital, the primary pediatric program of Harvard Medical School. He received his B.S. in Electronic Engineering from Tsinghua University, Beijing, China in 2005, the M.S and Ph.D. degree in Electrical Engineering from the University of California, Los Angeles in 2007 and 2009 respectively. His current research interests focus on hardware intelligence and biomedical applications. In recognition of his research, more than a dozen of his papers have been nominated for or awarded as the best paper in top conferences. He was also the recipient of IBM Invention Achievement Award, Japan Society for the Promotion of Science (JSPS) Faculty Invitation Fellowship, Humboldt Research Fellowship, IEEE St. Louis Section Outstanding Educator Award, Academy of Science (St. Louis) Innovation Award, Missouri S&T Faculty Excellence Award, NSF CAREER Award, IEEE Region 5 Outstanding Individual Achievement Award, Air Force Summer Faculty Fellowship, IEEE Computer Society TCVLSI Mid-Career Research Achievement Award, Facebook Research Award, among others. He has served on the technical program committee of many international conferences. He is the deputy editor-in-chief of IEEE VLSI CAS Newsletter, and an associate editor of various IEEE and ACM journals.

Digital Circuits and Applications 2
Location: SBCCI Synopsys Auditorium
Session Chair: Rafael Garibotti (PUC-RS, Brazil)

14:40 — 15:00 CSIP: A Compact Scrypt IP design with single PBKDF2 core for Blockchain mining
Vu Trung Duong Le, Hoai Luan Pham, Thi Hong Tran, Yasuhiko Nakashima
15:00 — 15:20eSi-BTC: an energy efficient Bitcoin mining core
Carlos Gewehr, Carlis Raupp, Julio Leão
15:20 — 15:40 A custom interconnection multi-FPGA framework for distributed processing application
Carlos Salazar-García, Alfonso Chacón-Rodríguez, Renato Rímolo-Donadío, Ronny García-Ramírez, David Solórzano-Pacheco, Jeferson González-Gómez, Christos Strydis
15:40 — 16:00Circuit Reliability Analysis with Considerations of Aging Effect
SUOYUE ZHAN, CHUNHONG CHEN

Analog Design
Location: SBCCI Synopsys Auditorium
Session Chair: Dalton Colombo (UFMG, Brazil)

16:20 — 16:40 Digital Defect-Oriented Test Methodology for Flipped Voltage Follower Low Dropout (LDO) Voltage Regulators
MARAMPALLY SAIKIRAN, MONA GANJI, Degang Chen
16:40 — 17:00A 0.55-V Oscillator with Improved Stability Against Temperature and Supply-Voltage Variations
Jader A. De Lima
17:00 — 17:20 Low Noise Broadband Amplifier for Breast Cancer System
Leonardo Rodrigues Leopoldo, Wilhelmus A. M. Van Noije
17:20 — 17:40An All-digital Programmable Current-limited Discharge Circuitry for a Safe Electrical Stimulation
Reza Ranjandish
18:00 – 19:00 – PANEL – International Industry (in english)
Location: Panels ABISEMI Room

The Brazilian Semiconductor Industry: New Opportunities?
Organizer: Marcelo Lubaszewski, UFRGS
Moderator: Arthur Liraneto, CESAR
Panelists:
Murilo Pessatti, Chipus Microelectronics
Julio Leão da Silva Jr., Ensilica
Júlio de Oliveira, Idea! Electronic Systems


 August 25th

SoC, NoC and Reconfigurable Systems
Location: SBCCI Synopsys Auditorium
Session Chair: Mônica Pereira (UFRN, Brazil)

09:00 — 09:20 Secure Communication with Peripherals in NoC-based Many-cores
Rafael Faccenda, Gustavo Comaru Rodrigues, Luciano Lores Caimi, Fernando Moraes
09:20 — 09:40A Flexible and Energy-Efficient BLAKE-256/2s Co-Processor for Blockchain-based IoT Applications *
Hoai Luan Pham, Thi Hong Tran, Vu Trung Duong Le, Yasuhiko Nakashima
09:40 — 10:00 A High-level Model to Leverage NoC-based Many-core Research
Iaçanã Weber, Angelo Dalzotto, Fernando Moraes
10:00 — 10:20On the benefits of Collaborative Thread Throttling and HLS-Versioning in CPU-FPGA Environments
Tiago Knorst, Michael Guilherme Jordan, Guilherme Korol, Julio Costella Vicenzi, Arthur Lorenzon, Mateus Beck Rutzig, Antonio Carlos Schneider Beck
10:20 — 10:40Design-Time Analysis of Real-Time Traffic for Networks-on-Chip using Constraint Models
Anderson Domingues, Sergio Johann Filho, Alexandre Amory, Fernando Moraes
* Best paper candidate
11:00 – 12:20 – KEYNOTE – State of Video Codecs: AV1 and VVC algorithms and deployment
Iole Moccagatta (INTEL, USA)
Location: SBCCI Synopsys Auditorium
Chair: Bruno Zatt (UFPel, Brazil)

ABSTRACT: TBD

BIO: Dr. Iole Moccagatta is a Principal Engineer at Intel working on HW Multimedia IPs that are integrated on Intel platforms. Prior to Intel she hold the position of Senior Video Architect at NVIDIA, and that of Science Director at IMEC, Belgium. Dr. Moccagatta has been a very active member of MPEG, ITU-T, and JPEG, where she has represented US interests and companies and made a large number of technical contributions. A number of those have been included in MPEG and JPEG standards. She is currently Co-chair of the MPEG/ITU-T Joint Video Experts Team (JVET) Ad-Hoc Group on H.266/VVC Conformance and Co-editor of the H.266/VVC Conformance Testing document. Dr. Moccagatta has also been an active participant of the Alliance for Open Media (AOM) AV1 Codec WG, where she has co-authored two adopted proposals. She currently represents Intel in the AOM Board. Dr. Moccagatta is also serving as IEEE Signal Processing Society (SPS) Regional Director-at-Large Regions 1-6, supporting and advising Chapters and their officers, providing input on how to serve and engage the SPS community in general, and the SPS industry members in particular, and using her professional network to attract new volunteers to serve in SPS subcommittees and task forces. Dr. Moccagatta is the author or co-author of more than 30 publications, 2 book chapters, and more than 10 talks and tutorials in the field of image and video coding. She holds more than 10 patents in the same fields. For more details see Dr. Moccagatta professional site at http://alfiole.users.sonic.net/iole/. Dr. Moccagatta received a Diploma of Electronic Engineering from the University of Pavia, Italy, and a PhD from the Swiss Federal Institute of Technology in Lausanne, Switzerland.

14:00 – 14:40 – SBCCI Invited Talk – GPU Acceleration on EDA
Evangeline Young (Chinese University of Hong Kong, China)
Location: SBCCI Synopsys Auditorium
Chair: Gracieli Posser (Cadence, USA)

ABSTRACT: We look into opportunities to improve EDA tools with GPU acceleration. Traditional EDA tools run on CPU with a limited degree of parallelism. In this talk, we will look into a few examples of accelerating some classical algorithms in logic optimization and physical synthesis significantly using GPU. These include simplification of AIG networks and circuit place and route. We will see how EDA tools can leverage the power of GPU to improve both quality and computational time.

BIO: Evangeline F.Y. Young received her B.Sc. degree in Computer Science from The Chinese University of Hong Kong (CUHK) and received her Ph.D. degree from The University of Texas at Austin in 1999. She is currently a professor in the Department of CSE in CUHK. Her research interests include EDA, optimization, algorithms and AI. Dr. Young has served on the organization committees of ICCAD, ISPD, ARC and FPT and on the program committees of many top conferences including DAC, ICCAD, DATE and ASP-DAC. She also served on the editorial boards of IEEE TCAD, ACM TODAES and Integration, the VLSI Journal. Her research group has won best paper awards from ICCAD, ISPD, SLIP and FCCM, and a number of championships and prizes in renown EDA contests, including the CAD Contests at ICCAD, ISPD and DAC.

Reliability by Design
Location: SBCCI Synopsys Auditorium
Session Chair: Leomar Rosa (UFPel, Brazil)

14:40 — 15:00 INVITED PAPER: Reliability by Design: Avoiding Migration-Induced Failure in IC Interconnects
Susann Rothe, Jens Lienig
15:00 — 15:20Transistor Reordering for Electrical Improvement in CMOS Complex Gates
Marcello Morales Muñoz, Henrique Kessler, Marcelo Porto, Vinícius V. Camargo
15:20 — 15:40 Improving Soft Error Robustness of Full Adder Circuits with Decoupling Cell and Transistor Sizing
Rafael N. M. Oliveira, Fábio G. R. G. da Silva, Ricardo Reis, Rafael Schvittz, Cristina Meinhardt
15:40 — 16:00A Virtual Board Approach for Prototyping and Teaching Digital Design
Alcides Costa, Leonardo Droves Silveira, Andre Reis

Emerging Approaches for Digital Design
Location: SBCCI Synopsys Auditorium
Session Chair: Luciano Ost (Loughborough University, UK)

16:20 — 16:40 Integrating Machine-Learning Probes into the VTR FPGA Design Flow *
Timothy Martin, Charlotte Barnes, Gary Grewal, Shawki Areibi
16:40 — 17:00Exploring Machine Learning for Electrical Behavior Prediction: The CMOS Inverter Case Study
Gabriel L. Jacinto, Lucas Yuki Imamura, Mateus Grellert, Cristina Meinhardt
17:00 — 17:20 Enhancing an Asynchronous Circuit Design Flow to Support Complex Digital System Design
Marcos Sartori, Willian Analdo Nunes, Ney Laert Vilar Calazans
17:20 — 17:40A Design Procedure for Sizing Comparators in Active Rectifiers using gm/ID Technique
Andrea Ballo, Alfio Dario Grasso, Marco Privitera
* Best paper candidate
18:00 – 19:00 – PANEL – PDI Microeletrônica (in portuguese)
Location: Panels ABISEMI Room

Formação de RH e Projetos de PD&I no Brasil: Perspectivas para a Microeletrônica
Organizador: Marcelo Lubaszewski, UFRGS
Moderadora: Linnyer Beatrys Ruiz Aylon, SBMicro
Painelistas:
Alexandre Motta, COAPD – CNPq
Valeria Arruda, Engenharias IV – CAPES
Carlos Eduardo Pereira, Embrapii


 August 26th

Video Coding
Location: SBCCI Synopsys Auditorium
Session Chair: Wassim Hamidouche (INSA-Rennes, France)

09:00 — 09:20 Low-Frequency Non-Separable Transform Hardware System Design for the VVC Encoder
Jones Goebel, Luciano Agostini, Bruno Zatt, Marcelo Schiavon Porto
09:20 — 09:40High-Throughput Multifilter VLSI Design for the AV1 Fractional Motion Estimation
Daiane Fonseca Freitas, Bruna Nagai, Mateus Grellert, Cláudio Diniz, Guilherme Corrêa
09:40 — 10:00 Error Resilience Evaluation of Approximate Storage in the Intra Prediction of VVC Decoders
Matheus Martins Isquierdo, Renira Carla Soares, Felipe Martin Sampaio, Bruno Zatt, Daniel Munari Vilchez Palomino
10:00 — 10:20Hardware Design for the Separable Symmetric Normalized Wiener Filter of the AV1 Decoder
Roberta Palau, Wagner Penny, Jones Goebel, Eduardo Zummach, Guilherme Corrêa, Marcelo Schiavon Porto, Luciano Agostini
10:20 — 10:40An UHD 4K@60fps Dual Self-Guided Filter Targeting the AV1 Decoder
Roberta Palau, Jones Goebel, Eduardo Zummach, Ramiro Viana, Marcel Moscarelli Correa, Guilherme Corrêa, Marcelo Schiavon Porto, Luciano Agostini
11:00 – 12:20 – KEYNOTE – Towards Next Generation Logic Synthesis and Verification
Alan Mishchenko (University of California, Berkeley, USA)
Location: SBMicro Cadence Auditorium
Chair: André Reis (UFRGS, Brazil)

ABSTRACT: Given the progress achieved over the last fifty years in logic synthesis and verification, it is tempting to believe that most of the research discoveries have already been made, and the role of future researchers and engineers is just to maintain the CAD tools and occasionally make small changes, such as adding concurrency or employing machine learning to generate better scripts. Nothing could be farther from the truth. In this talk, we explore several orthogonal innovations in the fundamental research used to build synthesis tools targeting FPGAs and ASICs. These innovations include using novel data structures, leveraging synergistic optimization engines, and simultaneously exploring previously-unrelated search spaces. Most of these improvements are work-in-progress with early results demonstrating better quality and faster runtimes.

BIO: Alan graduated with M.S. from Moscow Institute of Physics and Technology (Moscow, Russia) in 1993 and received his Ph.D. from Glushkov Institute of Cybernetics (Kiev, Ukraine) in 1997. In 2002, Alan joined the EECS Department at University of California, Berkeley, where he is currently a full researcher. His research is in computationally efficient logic synthesis, formal verification, and machine learning.


14:00 – 14:40 – SBCCI Invited Talk – Challenges and Opportunities in Coarse-Grained Reconfigurable Computing: an Approximate Approach
Jari Nurmi (Tampere University, Finland)
Location: SBCCI Synopsys Auditorium
Chair: Luciano Ost (Loughborough University, UK)

ABSTRACT: The concept of Reconfigurable Computing (RC) was proposed already in 1960s. Coarse-Grained Reconfigurable Arrays (CGRA) as a realistic implementation architecture for RC have been around for a couple decades already, but there has not been any big breakthrough in the adoption of CGRA. Although they have many desirable and appealing features, some challenges remain. In this presentation we will look at various CGRA architectures and try to assess their opportunities in solving today’s computing problems, especially trying to find matches to another emerging paradigm, Approximate Computing (AC). The challenges and weaknesses of CGRAs, and attempts to circumvent them, will also be pointed out.

BIO: Jari Nurmi works as a Professor at Tampere University, TAU (ex Tampere University of Technology, TUT), Finland since 1999, in the Electrical Engineering unit. He received his MSc, Lic.Tech. and D.Sc.(Tech) from TUT in 1988, 1990 and 1994. He is working on embedded computing, System-on-Chip, approximate computing, wireless localization, GNSS receiver design, and software-defined radio and -networks. He held various research, education and management positions at TUT since 1987 and was the Vice President of the SME VLSI Solution Oy 1995-1998. He has supervised 27 PhD and about 150 MSc theses, and been the opponent or reviewer of 48 PhD theses for other universities worldwide. He is a senior member of IEEE, and member of the technical committee on VLSI Systems and Applications at IEEE CASS. In 2004, he received Nokia Educational Award, in 2005 Tampere Congress Award, in 2011 IIDA Innovation Award, and in 2013 Scientific Congress Award and HiPEAC Technology Transfer Award. He is a steering committee member of four international conferences, chairman in two. He has edited five Springer books, and has published over 350 international conference and journal articles and book chapters. Dr. Nurmi is also associate editor/handling editor of three international journals. He is the director of national DELTA doctoral training network of about 200 PhD students, coordinator of the European doctoral training network APROPOS, and the head of A-WEAR European joint PhD degree program at TAU

Session Chair: Luciano Ost (Loughborough University, UK)

SPECIAL SESSION on Embedded and IoT Architectures
Location: SBCCI Synopsys Auditorium
Session Chair: Michael Hubner (BTU Cottbus-Senftenberg, Germany)

14:40 — 15:00 Methodology for an Early Exploration of Embedded Systems using Portable Test and Stimulus Standard
Frederik Kautz, Holger Blume, Christian Sauer
15:00 — 15:20Edge GPU based on an FPGA Overlay Architecture using PYNQ
Hector Gerardo Muñoz Hernandez, Florian Fricke, Muhammed Al Kadi, Marc Reichenbach, Michael Hübner
15:20 — 15:40 A distributed Embedded Systems IoT platform and Associated services Supporting Shopping Cart for Disabled People
Konstantinos Antonopoulos, Dimitris Karadimas, Alexandros Spournias, Christos Panagiotou, Ignatios Fotiou, Ioannis Symeonidis, ChristosAntonopoulos,
Michael Hübner, Nikolaos Voros
15:40 — 16:00PANACA: An Open-Source Configurable Network-on-Chip Simulation Platform
Julian Haase, Alexander Groß, Maximilian Feichter, Diana Göhringer

Heuristics and Learning-Based Circuits and Systems
Location: SBCCI Synopsys Auditorium
Session Chair: Mateus Grellert (UFSC, Brazil)

16:20 — 16:40 INVITED PAPER: A Study of Motion Coding Schemes for Learned Video Compression
Peng-Yu Chen, Chih-Hsuan Lin, Wen-Hsiao Peng
16:40 — 17:00Comparative Analysis of Hardware Implementations of a Convolutional Neural Network
Gabriel Henrique Eisenkraemer, Leonardo Londero de Oliveira, Everton Alceu Carara
17:00 — 17:20 Direction-Based Fast Mode Decision and Hardware Design for the AV1 Intra Prediction *
Marcel Moscarelli Correa, Daniel Palomino, Guilherme Corrêa, Luciano Agostini
17:20 — 17:40Energy-Efficient Forwarding Routing Algorithm with bidirectional link quality estimator for Wireless Sensor Networks
Hugo Rodriguez Arce, Jimmy Fernando Tarillo Olano
* Best paper candidate

Times are expressed in GMT-3